Wish List 0

Max Ii Epm240 Cpld Minimal Development Board

Rs. 771.00 Rs. 926.00

  • Product Code: DEVBOARD-CPLD
  • SKU - SE-1176
  • Availability: In Stock
  • Price in reward points: 9
  • For Bulk Order 9962060070
    Quick support on WhatsApp (+919962060070) only between morning 11am-4pm, no call will be answered

        The MAX II EPM240 CPLD development board is ideal for prototyping and for studying approximately programmable common sense gadgets.


        Features

        • JTAG connector
        • Can be easily programmed using the Byte Blaster or USB Blaster (also available on our website)
        • Flexible I/O interface supporting 3.3 V, 2.5 V, 1.8 V, and 1.5 V logic levels
        • High speed operation, up to 150 MHz
        • InstantOn, non-volatile storage
        • 240 logic elements
        • On board power regulator (works with 5 V power adapters)
        • On-board 50 MHz oscillator
        SPECIFICATION
        CPLD Part Number       EPM240T100C5N
        Power Input            5V Barrel Connector
        Logic elements         240
        On Board Clock Source  50MHz
        OVERVIEW
        CPLD Part Number       EPM240T100C5N
        Power Input            5V Barrel Connector
        Logic elements         240
        On Board Clock Source  50MHz

        PACKAGE INCLUDES:

        1 PCS x Max Ii Epm240 Cpld Minimal Development Board


        15 days

        Write a review

        Please login or register to review